Welcome to ARChip Lab
Publications

[ICLR 2024] DreamLLM: Synergistic Multimodal Comprehension and Creation
Runpei Dong*, Chunrui Han*, Yuang Peng, Zekun Qi, Zheng Ge, Jinrong Yang, Liang Zhao, Jianjian Sun, Hongyu Zhou, Haoran Wei, Xiangwen Kong, Xiangyu Zhang, Kaisheng Ma*, and Li Yi*
The International Conference on Learning Representations (ICLR, Spotlight Presentation)

[PDF] | [Code]

[AAAI 2024] Guiding a Harsh-Environments Robust Detector via RAW Data Characteristic Mining
Hongyang Chen, Hung-Shuo Tai, Kaisheng Ma
Thirty-Eighth AAAI Conference on Artificial Intelligence (AAAI)

[PDF] | [Code]

[AAAI 2024] CutFreq: Cut-and-Swap Frequency Components for Low-Level Vision Augmentation
Hongyang Chen, Kaisheng Ma
Thirty-Eighth AAAI Conference on Artificial Intelligence (AAAI)

[PDF] | [Code]

[BMVC 2023] ReKo: Region-aware Knowledge Distillation Towards Effcient Image-to-Image Translation
Linfeng Zhang, Runpei Dong, Xin Chen, Kaisheng Ma
The 34th British Machine Vision Conference (BMVC)

[PDF] | [Code]

[BMVC 2023] Structrued Knowledge Distillation Towards Multi-view 3D Detection
Linfeng Zhang, Yukang Shi, Ke Wang, Hung-shuo Tai, Yuan He, Kaisheng Ma
The 34th British Machine Vision Conference (BMVC)

[PDF] | [Code]

[IEEE TPAMI 2023] Structured Knowledge Distillation Towards Efficient Object Detection
Linfeng Zhang, Kaisheng Ma
IEEE Transactions of Pattern Analysis and Machine Intelligence (IEEE TPAMI)

[PDF] | [Code]

[ICCV 2023] Tiny Updater: Towards Efficient Neural Network-Driven Software Updating
Linfeng Zhang, Kaisheng Ma
IEEE International Conference on Computer Vision (ICCV, Oral Presentation)

[PDF] | [Code]

[IJCNN 2023] Revisiting Data Augmentation in Model Compression: An Empirical and Comprehensive Study
Muzhou Yu*, Linfeng Zhang*, and Kaisheng Ma
International Joint Conference on Neural Networks (IJCNN)

[PDF] | [Code]

[ICML 2023] Contrast with Reconstruct: Contrastive 3D Representation Learning Guided by Generative Pretraining
Zekun Qi*, Runpei Dong*, Guofan Fan, Zheng Ge, Xiangyu Zhang, Kaisheng Ma*, and Li Yi*
International Conference on Machine Learning (ICML)

[PDF] | [Code]

[CVPR 2023] PointDistiller: Structured Knowledge Distillation towards Efficient and Compact 3D Detection
Linfeng Zhang*, Runpei Dong*, Huang-shuo Tai, and Kaisheng Ma
IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR)

[PDF] | [Code]

[ICASSP 2023] CORSD: Class-Oriented Relational Self Distillation
Muzhou Yu, Sia Huat Tan, Kailu Wu, Runpei Dong, Linfeng Zhang, and Kaisheng Ma
International Conference on Acoustics, Speech and Signal Processing (ICASSP)

[PDF] | [Code]

[AAAI 2023] Language-Assisted 3D Feature Learning for Semantic Scene Understanding
Junbo Zhang, Guofan Fan, Guanghan Wang, Zhengyuan Su, Kaisheng Ma*, and Li Yi*
AAAI Conference on Artificial Intelligence (AAAI)

[PDF] | [Code]

[ICLR 2023] Autoencoders as Cross-Modal Teachers: Can Pretrained 2D Image Transformers Help 3D Representation Learning?
Runpei Dong, Zekun Qi, Linfeng Zhang, Junbo Zhang, Jianjian Sun, Zheng Ge, Li Yi, and Kaisheng Ma
The International Conference on Learning Representations (ICLR)

[PDF] | [Code]

[ICLR 2023] Hebbian and Gradient-based Plasticity Enables Robust Memory and Rapid Learning in RNNs
Yu Duan, Zhongfan Jia, Qian Li, Yi Zhong, and Kaisheng Ma
The International Conference on Learning Representations (ICLR)

[PDF] | [Code]

[TCSVT 2022] A Good Data Augmentation Policy Is Not All You Need: A Multi-Task Learning Perspective
Linfeng Zhang, Kaisheng Ma
IEEE Transactions on Circuits and Systems for Video Technology (TCSVT)

[PDF] | [Code]

[ECCV 2022] Contrastive Deep Supervision
Linfeng Zhang, Xin Chen, Junbo Zhang, Runpei Dong and Kaisheng Ma
European Conference on Computer Vision (2022)

[PDF] | [Code]

[BMVC 2022] LW-ISP: A Lightweight Model with ISP and Deep Learning
Hongyang Chen, Kaisheng Ma
The 33th British Machine Vision Conference (BMVC)

[PDF] | [Code]

[ICML 2022] Finding the Task-Optimal Low-Bit Sub-Distribution in Deep Neural Networks
Runpei Dong, Zhanhong Tan, Mengdi Wu, Linfeng Zhang, and Kaisheng Ma
International Conference on Machine Learning (2022)

[PDF] | [Code]

[ICML 2022] MemSR: Training Memory-efficient Lightweight Model for Image Super-Resolution
Kailu Wu, Chung-Kuei Lee, and Kaisheng Ma
International Conference on Machine Learning (2022)

[PDF]

[CVPR 2022] Rethinking the Augmentation Module in Contrastive Learning: Learning Hierarchical Augmentation Invariance with Expanded Views
Junbo Zhang, Kaisheng Ma
IEEE/CVF Conference on Computer Vision and Pattern Recognition (2022)

[PDF] | [Code]

[CVPR 2022] Wavelet Knowledge Distillation: Towards Efficient Image-to-Image Translation
Linfeng Zhang, Xin Chen, Xiaobing Tu, Pengfei Wan, Ning Xu, and Kaisheng Ma
IEEE/CVF Conference on Computer Vision and Pattern Recognition (2022)

[PDF] | [Code]

[ICRA 2022] SAFIT: Segmentation-Aware Scene Flow with Improved Transformer
YuKang Shi, Kaisheng Ma
International Conference on Robotics and Automation (2022)

[PDF] | [Code]

[BMVC 2021] Multi-Glimpse Network: A Robust and Efficient Classification Architecture based on Recurrent Downsampled Attention
Sia Huat Tan, Runpei Dong, and Kaisheng Ma
British Machine Vision Conference (2021)

[PDF] | [Code]

[NeurIPS 2021] AFEC: Active Forgetting of Negative Transfer in Continual Learning
Liyuan Wang, Mingtian Zhang, Zhongfan Jia, Qian Li, Chenglong Bao, Kaisheng Ma, Jun Zhu, and Yi Zhong
Thirty-sixth Annual Conference on Neural Information Processing Systems (2021)

[PDF] | [Code]

[IJCNN 2021] Wavelet J-Net: A Frequency Perspective on Convolutional Neural Networks
Linfeng Zhang, Xiaoman Zhang, Chenglong Bao, and Kaisheng Ma
International Joint Conference on Neural Networks (2021)

[PDF] | [Code]

[ICLR 2021] An Unsupervised Deep Learning Approach for Real-World Image Denoising
Dihan Zheng, Sia Huat Tan, Xiaowen Zhang, Zuoqiang Shi, Kaisheng Ma, and Chenglong Bao
The International Conference on Learning Representations (2021)

[PDF] | [Code]

[ICLR 2021] Improve Object Detection with Feature-based Knowledge Distillation: Towards Accurate and Efficient Detectors
Linfeng Zhang, and Kaisheng Ma
The International Conference on Learning Representations (2021)

[PDF] | [Code]

[TPAMI 2021] Self-Distillation: Towards Efficient and Compact Neural Networks
Linfeng Zhang, Chenglong Bao, and Kaisheng Ma
Transactions of Pattern Analysis and Machine Intelligence (2021)

[PDF] | [Code]

[Inverse Problems] Zero norm based analysis model for image smoothing and reconstruction
Jiebo Song, Jia Li, Zhengan Yao, Kaisheng Ma, and Chenglong Bao
Inverse Problems 36, no. 11 (2020): 115009. 2020

[PDF]

[ECCV 2020] An Image Enhancing Pattern-based Sparsity for Real-time Inference on Mobile Devices
Xiaolong Ma, Wei Niu, Tianyun Zhang, Sijia Liu, Fu-Ming Guo, Sheng Lin, Hongjia Li, Wujie Wen, Xiang Chen, Jian Tang, Kaisheng Ma, Bin Ren, and Yanzhi Wang
European Conference on Computer Vision (2020)

[PDF]

[NeurIPS 2020] Task-Oriented Feature Distillation
Linfeng Zhang, Yukang Shi, Zuoqiang Shi, Kaisheng Ma, and Chenglong Bao
Conference and Workshop on Neural Information Processing Systems (2020)

[PDF] | [Code]

[CVPR 2020] Auxiliary Training: Towards Accurate and Robust Models
Linfeng Zhang, Muzhou Yu, Tong Chen, Zuoqiang Shi, Chenglong Bao, and Kaisheng Ma
IEEE/CVF Conference on Computer Vision and Pattern Recognition (2020)

[PDF] | [Code]

[CVPR 2020] Light-weight Calibrator: A Separable Component for Unsupervised Domain Adaptation
Shaokai Ye, Kailu Wu, Mu Zhou, Yunfei Yang, Sia Huat Tan, Jiebo Song, Kaidi Xu, Chenglong Bao, and Kaisheng Ma
IEEE/CVF Conference on Computer Vision and Pattern Recognition (2020)

[PDF]

[AAAI 2020] PCONV: The Missing but Desirable Sparsity in DNN Weight Pruning for Real-time Execution on Mobile Devices
Xiaolong Ma, Fu-Ming Guo, Wei Niu, Xue Lin, Jian Tang, Kaisheng Ma, Bin Ren, and Yanzhi Wang
AAAI Conference on Artificial Intelligence (2020)

[PDF]

[NeurIPS 2019] SCAN: A Scalable Neural Networks Framework Towards Compact and Efficient Models
Linfeng Zhang, Zhanhong Tan, Jiebo Song, Jingwei Chen, Chenglong Bao, and Kaisheng Ma
Neural Information Processing Systems (NeurIPS)

[PDF] | [Code]

[ICCV 2019] Be Your Own Teacher: Improve the Performance of Convolutional Neural Networks via Self Distillation
Linfeng Zhang, Jiebo Song, Anni Gao, Jingwei Chen, Chenglong Bao, and Kaisheng Ma
IEEE International Conference on Computer Vision (ICCV)

[PDF] | [Code: SCAN is based on this work, so they share same code]

[ICCV 2019] Adversarial Robustness vs. Model Compression, or Both?
Shaokai Ye, Kaidi Xu, Sijia Liu, Hao Cheng, Jan-Henrik Lambrechts, Huan Zhang, Aojun Zhou, Kaisheng Ma, Yanzhi Wang, and Xue Lin
IEEE International Conference on Computer Vision (ICCV)

[PDF] | [Code]

[2019] Brain-inspired Reverse Adversarial Examples
Shaokai Ye, Sia Huat Tan, Kaidi Xu, Yanzhi Wang, Chenglong Bao, and Kaisheng Ma
arXiv preprint arXiv:1905.12171 (2019)

[PDF]

[2019] Toward Extremely Low Bit and Lossless Accuracy in DNNs with Progressive ADMM
Sheng Lin, Xiaolong Ma, Shaokai Ye, Geng Yuan, Kaisheng Ma, and Yanzhi Wang
arXiv preprint arXiv:1905.00789 (2019)

[PDF] | [Code]

[HPCA 2024] Mapping and Architecture Co-exploration for Large-scale DNN Chiplet Accelerators
Jingwei Cai, Zuotong Wu, Sen Peng, Yuchen Wei, Zhanhong Tan, Guiming Shi, Mingyu Gao, and Kaisheng Ma
IEEE International Symposium on High-Performance Computer Architecture (HPCA) [Distinguished Artifact Award (1/410)]

[PDF] | [Award]

[ASPLOS 2024] Cocco: Hardware-Mapping Co-Exploration towards Memory Capacity Communication Optimization.
Zhanhong Tan, Zijian Zhu, and Kaisheng Ma
Architectural Support for Programming Languages and Operating Systems (ASPLOS)

[PDF]

[MICRO 2023] Heterogeneous Die-to-Die Interfaces: Enabling More Flexible Chiplet Interconnection Systems
Yinxiao Feng, Dong Xiang, and Kaisheng Ma
The International Symposium on Microarchitecture (MICRO)

[PDF]

[HotChips 2023] A Scalable Multi‑Chiplet Deep Learning Accelerator with Hub‑Side 2.5D Heterogeneous Integration
Zhanhong Tan, Yifu Wu, Yannian Zhang, Haobing Shi, Wuke Zhang, Jingwei Cai, and Kaisheng Ma
IEEE Hot Chips Symposium (HCS)

[PDF]

[HotChips 2023] PHEP: Paillier Homomorphic Encryption Processors for Privacy‑Preserving Applications in Cloud Computing
Guiming Shi, Yi Li, Xueqiang Wang, Zhanhong Tan, Dapeng Cao, Jingwei Cai, Yuchen Wei, Zehua Li, Yifu Wu, Wuke Zhang, Wei Xu*, and Kaisheng Ma*
IEEE Hot Chips Symposium (HCS)

[PDF]

[ISCA 2023] Inter-layer Scheduling Space Definition and Exploration for Tiled Accelerators
Jingwei Cai*, Yuchen Wei*, Zuotong Wu, Sen Peng, and Kaisheng Ma
International Symposium on Computer Architecture (ISCA)

[PDF]

[ISSCC 2023] A 28nm 68MOPS 0.18μJ/Op Paillier Homomorphic Encryption Processor with Bit-Serial Sparse Ciphertext Computing
Guiming Shi, Zhanhong Tan, Dapeng Cao, Jingwei Cai, Wuke Zhang, Yifu Wu, and Kaisheng Ma
International Solid-State Circuits Conference (ISSCC)

[PDF]

[HPCA 2023] A Scalable Methodology for Designing Efficient Interconnection Network of Chiplets
Yinxiao Feng, Dong Xiang, and Kaisheng Ma
IEEE International Symposium on High-Performance Computer Architecture (HPCA)

[PDF]

[DAC 2022] Chiplet Actuary: A Quantitative Cost Model and Multi-Chiplet Architecture Exploration
Yinxiao Feng, Kaisheng Ma
Design Automation Conference (DAC)

[PDF]

[CICC 2021] A 400MHz NPU with 7.8TOPS2/W High-Performance-Guaranteed Efficiency in 55nm for Multi-Mode Pruning and Diverse Quantization Using Pattern-Kernel Encoding and Reconfigurable MAC Units
Zhanhong Tan, Sia-Huat Tan, Jan-Henrik Lambrechts, Yannian Zhang, Yifu Wu, and Kaisheng Ma
IEEE Custom Integrated Circuits Conference 2021 (Oral)

[PDF]

[ISCA 2021] NN-Baton: DNN Workload Orchestration and Chiplet Granularity Exploration for Multichip Accelerators
Zhanhong Tan, Hongyu Cai, Runpei Dong, and Kaisheng Ma
The International Symposium on Computer Architecture 2021 (Oral)

[PDF]

[DAC 2020] PCNN: Pattern-based Fine-Grained Regular Pruning towards Optimizing CNN Accelerators
Zhanhong Tan, Jiebo Song, Xiaolong Ma, Sia-Huat Tan, Hongyang Chen, Yuanqing Miao, Yifu Wu, Shaokai Ye, Yanzhi Wang, Dehui Li, and Kaisheng Ma
Design Automation Conference (DAC)

[PDF]

[IUS 2021] A Novel Low-Power Ultra-Compact Ultrasonic Communication System for Neural Spike Events Recording
Qichao Ma, Yinxiao Feng, and Kaisheng Ma
IEEE International Ultrasonics Symposium 2021

[PDF]

[GLSVLSI 2020] Design Insights of Non-volatile Processors and Accelerators in Energy Harvesting Systems
Keni Qiu, Mengying Zhao, Zhenge Jia, Jingtong Hu, Chun Jason Xue, Kaisheng Ma, Xueqing Li, Yongpan Liu, and Vijaykrishnan Narayanan
Great Lakes Symposium on VLSI (2020)

[PDF]

[Dissertation 2018] Nonvolatile Processor Architecture Exploration for Energy-Harvesting Application Scenarios
Kaisheng Ma
Dissertation (European Design and Automation Association's (EDAA) Ph.D. Outstanding Dissertation Award)

[PDF] | [Defense Slides] | [EDAA Official Press] | [Penn State Report] | [Award]

[HPCA 2015] Architecture Exploration for Ambient Energy Harvesting Nonvolatile Processors
Kaisheng Ma, Yang Zheng, Shuangchen Li, Karthik Swaminathan, Xueqing Li, Yongpan Liu, Jack Sampson, Yuan Xie, and Vijaykrishnan Narayanan
The International Symposium on High-Performance Computer Architecture (HPCA) (HPCA 2015 Best Paper Award)

[PDF] | [HPCA Official Press] | [ASSIST Report] | [Demo Video] | [Award]

[ASP-DAC 2017] Spendthrift: Machine Learning Based Resource and Frequency Scaling for Ambient Energy Harvesting Nonvolatile Processors
Kaisheng Ma, Xueqing Li, Srivatsa Rangachar Srinivasa, Yongpan Liu, Jack Sampson, Yuan Xie, and Vijaykrishnan Narayanan
The 22nd Asia and South Pacific Design Automation Conference (ASP-DAC) (ASP-DAC 2017 Best Paper Award)

[PDF] | [ASP-DAC Official Press] | [Award]

[IEEE Micro] Nonvolatile Processor Architectures: Efficient, Reliable Progress with Unstable Power
Kaisheng Ma, Yang Zheng, Shuangchen Li, Karthik Swaminathan, Xueqing Li, Yongpan Liu, Jack Sampson, Yuan Xie, and Vijaykrishnan Narayanan
IEEE Micro Top Picks special issue of "most significant papers in computer architecture based on novelty and long-term impact" from 2015 (IEEE Micro) (IEEE Micro Top Picks Award)

[PDF] | [Award]

[Springer 2020] Nonvolatile Processor Architecture Exploration for Energy-Harvesting Application Scenarios
Kaisheng Ma, Shuangchen Li, Vijaykrishnan Narayanan, and Yuan Xie
Book: Embedded, Cyber-Physical, and IoT Systems
(Top one percent high-impact publications in Springer Nature Research Highlights from China Collection Award 2020)

[Link]

[IEEE Micro 2019] IAA: Incidental Approximate Architectures for Extremely Energy Constrained Energy Harvesting Scenarios using IoT Nonvolatile Processors
Kaisheng Ma, Xueqing Li, Jinyang Li, Yongpan Liu, Yuan Xie, Mahmut Taylan Kandemir, Jack Sampson, and Vijaykrishnan Narayanan
IEEE Micro Special Issue on Approximate Computing (IEEE MICRO)

[PDF]

[ASPLOS 2018] NEOFog: Nonvolatility-Exploiting Optimizations for Fog Computing
Kaisheng Ma, Jinyang Li, Tongda Wu, Zhibo Wang, Xueqing Li, Yongpan Liu, Yuan Xie, Mahmut Taylan Kandemir, Jack Sampson, and Vijaykrishnan Narayanan
The 23nd ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS)

[PDF]

[MICRO 2017] Incidental computing on IoT nonvolatile processors
Kaisheng Ma, Xueqing Li, Jinyang Li, Yongpan Liu, Yuan Xie, Jack Sampson, Mahmut Taylan Kandemir, and Vijaykrishnan Narayanan
Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)

[PDF]

[IEEE Micro] Nonvolatile Processor Architecture Exploration for Energy Harvesting Applications
Kaisheng Ma, Xueqing Li, Yongpan Liu, Jack Sampson, Yuan Xie, and Vijaykrishnan Narayanan
IEEE Micro, Special Issue on Alternative Computing Designs and Technologies (IEEE Micro)

[PDF]

[IEEE TECS 2016] Dynamic Power and Energy Management for Energy Harvesting Nonvolatile Processor Systems
Kaisheng Ma, Xueqing Li, Sheng Xiao, Yiqun Wang, Huichu Liu, Shuangchen Li, Karthik Swaminathan, Yongpan Liu, Yuan Xie, Jack Sampson, and Vijaykrishnan Narayanan
IEEE Transactions on Embedded Computing Systems (IEEE TECS)

[PDF]

[ISQED 2017] Evaluating tradeoffs in granularity and overheads in supporting nonvolatile execution semantics
Kaisheng Ma, Minli Julie Liao, Xueqing Li, Zhixuan Huan, and Jack Sampson
18th International Symposium on Quality Electronic Design (ISQED)

[PDF]

[ICCAD 2015] Dynamic Machine Learning Based Matching of Nonvolatile Processor Microarchitecture to Harvested Energy Profile
Kaisheng Ma, Xueqing Li, Yongpan Liu, Jack Sampson, Yuan Xie, and Vijaykrishnan Narayanan
2015 International Conference on Computer Aided Design (ICCAD)

[PDF]

[NVMTS 2015] Nonvolatile Processor Optimization for Ambient Energy Harvesting Scenarios
Kaisheng Ma, Xueqing Li, Jack Sampson, Yongpan Liu, Yuan Xie, and Vijaykrishnan Narayanan
The 15th Non-Volatile Memory Technology Symposium (NVMTS)

[PDF]

[DATE 2017] Nonvolatile processors: Why is it trending?
Fang Su, Kaisheng Ma, Xueqing Li, Tongda Wu, Yongpan Liu, and Vijaykrishnan Narayanan
2017 Design, Automation & Test in Europe Conference & Exhibition (DATE)

[PDF]

[TCAS-I 2017] Advancing Nonvolatile Computing with Nonvolatile NCFET Latches and Flip-Flops
Xueqing Li, Sumitha George, Kaisheng Ma, Wei-Yu Tsai, Ahmedullah Aziz, John Sampson, Sumeet Kumar Gupta et al
IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I)

[PDF]

[TED 2017] Design of Nonvolatile SRAM with Ferroelectric FETs for Energy-Efficient Backup and Restore
Xueqing Li, Kaisheng Ma, Sumitha George, Win-San Khwa, John Sampson, Sumeet Gupta, Yongpan Liu, Meng-Fan Chang, Suman Datta, and Vijaykrishnan Narayanan
IEEE Transactions on Electron Devices (TED)

[PDF]

[TED 2017] Enabling energy-efficient nonvolatile computing with negative capacitance FET
Xueqing Li, John Sampson, Asif Khan, Kaisheng Ma, Sumitha George, Ahmedullah Aziz, Sumeet Kumar Gupta, Sayeef Salahuddin, Meng-Fan Chang, Suman Datta, and Vijaykrishnan Narayanan
IEEE Transactions on Electron Devices 64 (TED)

[PDF]

[ISVLSI 2015] Using Multiple-Input NEMS for Parallel A/D Conversion and Image Processing
Kaisheng Ma, Nandhini Chandramoorthy, Xueqing Li, Sumeet Kumar Gupta, John Sampson, Yuan Xie, and Vijaykrishnan Narayanan
The Symposium covers VLSI circuits and systems (ISVLSI)

[PDF]

[VSLI-SOC 2016] Enabling Internet-of-Things: Opportunities Brought by Emerging Devices, Circuits, and Architectures
Xueqing Li, Kaisheng Ma, Sumitha George, John Sampson, and Vijaykrishnan Narayanan
IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC)

[PDF]

[DAC 2016] Nonvolatile Memory Design based on Ferroelectric FETs
Sumitha George, Kaisheng Ma, Ahmedullah Aziz, Xueqing Li, Asif Khan, Sayeef Salahuddin, Meng-Fan Chang, Suman Datta, John Sampson, Sumeet Gupta, and Vijaykrishnan Narayanan
Proceedings of the 53rd Annual Design Automation Conference (DAC)

[PDF]

[DAC 2015] Ambient Energy Harvesting Nonvolatile Processors: From Circuit to System
Yongpan Liu, Zewei Li, Hehe Li, Yiqun Wang, Xueqing Li, Kaisheng Ma, Shuangchen Li, Meng-Fan Chang, Sampson John, Yuan Xie, Jiwu Shu, and Huazhong Yang
The 52nd Annual Design Automation Conference (DAC)

[PDF]

[NEWCAS 2014] RF-Powered Systems Using Steep-Slope Devices
Xueqing Li, Huichu Liu, Kaisheng Ma, Unsuk Dennis Heo, Suman Datta, and Vijaykrishnan Narayanan
IEEE International New Circuits and Systems Conference (NEWCAS)

[PDF]

[JETCAS 2014] Tunnel-FET RF Rectifier Design for Energy Harvesting Application
Xueqing Li, Huichu Liu, Ramesh Vaddi, Kaisheng Ma, Suman Datta, and Vijaykrishnan Narayanan
IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS)

[PDF]

[ISVLSI 2014] Independently-Controlled-Gate FinFET 6T SRAM Cell Design for Leakage Current Reduction and Enhanced Read Access Speed
Kaisheng Ma, Huichu Liu, Yang Xiao, Yang Zheng, Sumeet Gupta, Yuan Xie, and Vijaykrishnan Narayanan
The 2014 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)

[PDF]

[ISVLSI 2014] Independently-Controlled-Gate FinFET 6T SRAM Cell Design for Leakage Current Reduction and Enhanced Read Access Speed
Kaisheng Ma, Huichu Liu, Yang Xiao, Yang Zheng, Sumeet Gupta, Yuan Xie, and Vijaykrishnan Narayanan
The 2014 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)

[PDF]

[ETRI 2013] The Hardware Implementation on the Weight Calculation of Iterative Algorithm for CT Image Reconstruction
Xixin Cao, Kaisheng Ma, Renchun Lian, and Qihui Zhang
Journal of the Electronics and Telecommunications Research Institute (ETRI Journal)

[PDF]

[IEEE DT 2019] Design of 2T/cell and 3T/cell Nonvolatile Memories with Emerging Ferroelectric FETs
Xueqing Li, Juejian Wu, Kai Ni, Sumitha George, Kaisheng Ma, John Sampson, Sumeet Kumar Gupta, Yongpan Liu, Huazhong Yang, Suman Datta, and Vijaykrishnan Narayanan
IEEE Design & Test (IEEE DT)

[PDF]

[SCIENCE CHINA 2014] Key Characterization Factors of Accurate Power Modeling for FinFET Circuit
Kaisheng Ma, Xiaoxin Cui, Kai Liao, Nan Liao, Di Wu, and Dunshan Yu
SCIENCE CHINA Information Sciences (SCIENCE CHINA)

[PDF]

© Copyright 2020 ARChip Lab - All rights reserved